PyBfms Documentation

The PyBfms project provides infrastructure for creating Bus Functional Models (BFMs) to interface between a Python-based testbench and an HDL simulation environment. PyBfms currently supports the cocotb testbench library, but is designed to be able to support other Python testbench libraries.

Contents:

Indices and tables